Real Intent Extends Meridian RDC’s Low Noise Reporting & Debug Technology Leadership


SUNNYVALE, Calif., June 06, 2022 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced enhancements to its Meridian RDC high performance, multi-scenario reset domain crossing static sign-off tool --   extending Meridian RDC’s technology advantages by enhancing its advanced debug and further optimizing its low noise reporting.

The new features include:

  • Targeted RDC runs for a fast iterative flow. Engineers can specify targeted flip flops and/or reset scenarios for focused runs that complete significantly faster compared to a full design run. Meridian RDC’s full design runs are already faster than other commercial solutions due to its multi-scenario architecture.
  • Complete waveform visualization in iDebug using native data. All design signal waveforms can be displayed for each metastability violation, making root cause tracing efficient and seamless.
  • Enhancements such as quick loading, coloring, connectivity, and context-specific attribute display.
  • RDC Simportal, for engineers to confirm that their reset scenario specifications (resets + constraints) in RDC analysis are consistent with the simulation behavior.

To maximize engineering efficiency and accelerate debug, Real Intent has built upon its unique functional analysis and observability analysis technology, with additional functionality to further advance its low noise reporting and reduce memory consumption to:

  • Eliminate redundant RDC violations due to overlapping specifications
  • Automatically identify and reduce pessimism for more efficient reporting
  • Expand functional analysis to reduce noise in reporting of metastability due to reset assertion and de-assertion
  • Reduce memory consumption by up to 30%

About Meridian RDC Reset Domain Crossing Static Sign-Off

Real Intent Meridian RDC is an ultra-high performance, low noise, multi-scenario reset domain crossing sign-off tool. It performs both structural and functional analysis to report reset functionality issues like metastability, glitches, and reset convergence.

Meridian RDC’s multi-scenario reset domain crossing sign-off requires only a single setup to analyze multiple reset scenarios in one run; it then produces one consolidated, low-noise violations report. It has been used successfully for RDC sign-off by customers on projects ranging from small designs with a few reset scenarios to multi-billion gate designs with thousands of reset scenarios.

For more information on Meridian RDC, please visit: https://www.realintent.com/reset-domain-crossing-meridian-rdc/

About Real Intent

Real Intent provides intent-driven static sign-off EDA software tools to accelerate early functional verification and advanced sign-off of digital designs. Its product capabilities include complete clock domain crossing sign-off from RTL, including multimode CDC; multimode/multi-scenario reset domain crossing sign-off; multimode DFT; and both RTL linting and formal linting. Real Intent products lead the market in precision, performance, and capacity. Real Intent customers include more than fifty major semiconductor and systems companies. Real Intent is headquartered at 932 Hamlin Court, Sunnyvale, CA. For more information visit us at www.realintent.com.

 

Contact Data