Worldwide Extreme Ultraviolet Lithography Industry to 2026 - Chip Makers Reducing the Manufacturing Process for Better Efficiency Driving Growth


Dublin, April 22, 2021 (GLOBE NEWSWIRE) -- The "Extreme Ultraviolet Lithography Market - Growth, Trends, COVID-19 Impact, and Forecasts (2021 - 2026)" report has been added to ResearchAndMarkets.com's offering.

The Extreme Ultraviolet (EUV) Lithography Market is expected to register a CAGR of 15% over the forecast period from 2021 to 2026. EUV lithography makes the usage of light with a wavelength of just 13.5 nm, which is a reduction of almost 14 times the wavelength of the other lithography techniques in advanced chipmaking, Deep Ultraviolet lithography, which uses 193 nm light. ASML, which is the dominant player in the market, has been exploring ways to reduce transistor sizes and has made considerable strides in the field of EUV lithography, which enables more precise and efficient production of semiconductors at small transistor scales around 7nm node size or even 5nm.

As semiconductor geometries tend to grow smaller and smaller, the adoption of EUV lithography technology has become extremely important, as it enables scaling down of intricate patterns on wafers by providing an optimal and efficient choice for next-generation applications including 5G, AI, and Automotive. EUV technology allows the chip makers to keep driving chip scaling as the shorter wavelength of EUV light is capable of printing the nanometer-scale features of the designs related to the advanced techniques.

TSMC's Extreme Ultraviolet (EUV) tools are expected to reach production maturity, with tool availability already the reaching target goals for high-volume production, and output power of greater than 250 watts for daily operations. Chipmakers are banking on EUV at 7nm, 5nm, and beyond for leading-edge logic, and today there are no other options available. The next-generation lithography technologies are not ready and can not be applied at 7nm and 5nm scale. At 3nm and beyond, chipmakers hope to use high-NA EUV, but several challenges have yet to be overcome in developing this technology.

In 2019, ASML Holding NV started developing the future generation of EUV lithography systems, using a higher numerical aperture, known as High-NA technology. The first R&D systems are planned to be shipped in early 2022 with volume production tools in 2024/2025. This technology will enable geometric chip scaling beyond the current decade, offering a resolution and overlay capability that is 70% better than the existing EUV platform.

ASML faced difficulty exporting its equipment due to COVID-19, negatively affecting major global semiconductor producers, including Samsung Electronics and TSMC. A delay in the company's equipment delivery is forcing the two companies to change their strategic development and production roadmaps. TSMC is postponing the test production of 3-nm semiconductors from June 2020 to October 2020. Samsung Electronics was expecting to begin mass production of 5-nm semiconductors in 2020, but a delay appears inevitable due to the late shipping. However, ASML continued to witness strong demand for EUV equipment in the first quarter of 2020, with the bookings reaching 3.1 billion euros in the quarter with about half for EUV systems.

Key Market Trends

Foundry is Expected to Hold Major Share

  • TSMC is planning to dominate the global foundry market by starting the volume production of 3-nm products in 2022. Samsung Electronics Co, Ltd, the runner-up in the market, is aiming to overtake TSMC in 2030 with its extreme ultraviolet (EUV) lithography technology. TSMC announced in December 2019 that the company would start to supply 5-nm process-based chips in the first half of 2020 and start the mass production of 3-nm process chips in 2022. The company also expects o produce 2-nm process products in 2024.
  • Samsung is facing a series of challenges in the market. For instance, the supply of photoresists for EUV lithography purposes can be subject to Japan's export restrictions. Besides, a significant number of companies are trying to compete with Samsung Electronics. Chinese and Taiwanese semiconductor companies are increasingly collaborating. To compete with the increasing competitors, Samsung Electronics has unveiled a series of new microfabrication techniques such as FinFET, gate-all-around, and multi-bridge-channel FET.
  • In February 2020, Samsung Electronics has begun mass production in its new semiconductor fabrication line in Hwaseong, Korea. The V1 facility is the company's first production line for semiconductors that incorporates extreme ultraviolet (EUV) lithography technology and produces chips using a process node of 7 nm and below. By the end of 2020, the total investment in the V1 line is expected to reach USD 6 billion following Samsung's plan, and the full capacity from 7nm and below process node is expected to multiply three times from that of 2019.
  • In October 2019, TSMC announced that its seven-nanometer plus (N7+), one of the first commercially available Extreme Ultraviolet (EUV) lithography technology that the technology is delivering customer products to market in high volume. The N7+ process equipped with EUV technology is built on TSMC's successful 7nm node and paves the way for 6nm and more advanced techniques. N7+ is also providing improved overall performance. Compared to the N7 process, N7+ delivers 15% to 20% more density and improved power consumption, making it a practical choice for the next-wave product.

Asia-Pacific is Expected to Hold Major Share

  • The Asia Pacific continues to hold the largest revenue share in the global market for Extreme Ultraviolet (EUV) lithography. Taiwan is expected to have an edge in the region over the forecast period. TSMC's expansion in Taiwan and its increasing investments in the EUV lithography technology is among the most critical factors instrumental in the growth of the Extreme Ultraviolet lithography market in Taiwan. The country accounts for the majority revenue share of the market for EUV lithography in the Asia Pacific region. Apart from Taiwan, the Extreme Ultraviolet lithography markets in Japan, China, and South Korea are expected to create significant opportunities for stakeholders in the coming years.
  • TSMC is further developing its technology by purchasing a significant amount of EUV exposure equipment from ASML Holding NV. In the third quarter of 2019, Taiwanese clients accounted for no less than 54 percent of the Dutch company's sales by purchasing EUV lithography equipment, priced at KRW 150 billion to KRW 200 billion per unit, in quantity. In that quarter, Taiwan's semiconductor equipment investment totaled USD 3.9 billion, which is an increase of 34 percent from 2018, whereas South Korea's semiconductor equipment investment decreased 36 percent year to USD 2.2 billion.
  • Ushio Inc., a lightning equipment company based out of Japan, announced in July 2019 that it had cleared a key milestone, perfecting the powerful, ultraprecise lights needed to test chip designs based on EUV lithography and the company expected the process to make the next generation of semiconductors. With that, the company became a significant player in future chipmaking. The company plans to control the market for light sources used in the testing of patterned Extreme Ultraviolet masks.
  • In November 2019, ASML was unable to ship a EUV lithography machine to Semiconductor Manufacturing International Corp. (Shanghai, China) because a required dual-use export license had expired. Lack of access to EUV lithography semiconductor manufacturing effectively keeps Chinese chip manufacturing capability trapped behind the leading-edge in chip manufacturing. ASML had decided not to ship the machine to SMIC because it did not want to offend the United States at a critical point in US-China trade negotiations. Political pressures such as this could hamper the market in the region.

Competitive Landscape

The Extreme Ultraviolet Lithography Market is highly consolidated as ASML is the only manufacturer of lithography machines that use extreme ultraviolet light. The company manufactures and sells its tools to some global semiconductor fabricators, including Intel, Samsung, and Taiwan Semiconductor Manufacturing Company (TSMC). Almost 25% of the company's revenues are generated by sales of EUV lithography systems, which reflects the company's monopoly in the manufacturing and commercialization of EUV lithography systems.

  • May 2020 - ASML Holding NV announced to have completed the testing and system integration of its first-generation HMI multibeam inspection system for 5 nm nodes and beyond. The eScan1000 is suitable for physical defect inspection and voltage contrast inspection by offering a range of beam currents. Moreover, its proprietary Supernova die-to-database defect detection capability enables chipmakers to monitor for defects on EUV masks using wafer print checks.
  • January 2020 - ASML shipped 26 extreme ultraviolet lithographies (EUVL) step-and-scan systems to its customers in 2019, and the company announced to increase shipments to around 35 units in 2020. The ramp-up is not expected to stop as semiconductor fabs are ramping up their usage of Extreme Ultraviolet process technologies as they are going to need more leading-edge equipment, and the company is planning to sell up to 50 EUV Lithography scanners in 2021.

Reasons to Purchase this report:

  • The market estimate (ME) sheet in Excel format
  • 3 months of analyst support

Key Topics Covered:

1 INTRODUCTION

2 RESEARCH METHODOLOGY

3 EXECUTIVE SUMMARY

4 MARKET INSIGHTS
4.1 Market Overview (Includes the impact due to COVID-19)
4.2 Industry Attractiveness - Porter's Five Forces Analysis
4.2.1 Bargaining Power of Suppliers
4.2.2 Bargaining Power of Buyers
4.2.3 Threat of New Entrants
4.2.4 Threat of Substitute Products
4.2.5 Intensity of Competitive Rivalry
4.3 Industry Value Chain Analysis

5 MARKET DYNAMICS
5.1 Market Drivers
5.1.1 The technology resulting in a faster output of microchips compared to others
5.1.2 Chip makers reducing the manufacturing process for better efficiency
5.2 Market Restraints
5.2.1 High cost involved in replacing the existing Deep UV with EUV lasers
5.2.2 Monopoly existing within the market
5.3 Technology Snapshot

6 MARKET SEGMENTATION
6.1 Product Type
6.1.1 Light Sources
6.1.2 Mirrors
6.1.3 Masks
6.2 Type
6.2.1 Foundry
6.2.2 Integrated Device Manufacturers (IDMs)
6.3 Geography
6.3.1 North America
6.3.1.1 United States
6.3.1.2 Canada
6.3.2 Europe
6.3.2.1 United Kingdom
6.3.2.2 Germany
6.3.2.3 France
6.3.2.4 Rest of Europe
6.3.3 Asia Pacific
6.3.3.1 Taiwan
6.3.3.2 China
6.3.3.3 South Korea
6.3.3.4 Japan
6.3.3.5 Rest of Asia Pacific
6.3.4 Rest of the World
6.3.4.1 Latin America
6.3.4.2 Middle East and Africa

7 COMPETITIVE LANDSCAPE
7.1 Company Profiles
7.1.1 ASML Holding NV
7.1.2 NTT Advanced Technology Corporation
7.1.3 Canon Inc.
7.1.4 Nikon Corporation
7.1.5 Intel Corporation
7.1.6 Taiwan Semiconductor Manufacturing Company Limited
7.1.7 Samsung Electronics Co. Ltd
7.1.8 Toppan Photomasks Inc.
7.1.9 Ushio, Inc.

8 INVESTMENT ANALYSIS

9 FUTURE OF THE MARKET

For more information about this report visit https://www.researchandmarkets.com/r/1xbui2

 

Coordonnées