RI-logo.png
Real Intent Announces Verix Multimode DFT Static Sign-Off Tool
09 juin 2020 06h00 HE | Real Intent
SUNNYVALE, Calif., June 09, 2020 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced Verix DFT, a full-chip, multimode DFT static sign-off tool. Verix DFT’s comprehensive set of fine-grained DFT...
RI-logo.png
Real Intent Ranks #3 in “Best of 2019” Electronic Design Automation Tools
20 févr. 2020 20h26 HE | Real Intent
SUNNYVALE, Calif., Feb. 20, 2020 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced that semiconductor users ranked Real Intent’s static sign-off tools #3 in the Electronic Design Automation...
2-Step Early Functional Verification with Real Intent Ascent Lint & Ascent AutoFormal
Real Intent Announces 10X+ Speedup & 5X Capacity Improvement for Ascent AutoFormal Automatic RTL Verification
25 juin 2019 08h00 HE | Real Intent
SUNNYVALE, Calif., June 25, 2019 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced that its Ascent AutoFormal automatic RTL verification tool has been improved to provide 10X+ performance gains...
RI-logo.png
Real Intent Achieves ISO 26262 Functional Safety Compliance for Static Sign-Off Tools Meridian CDC, Meridian RDC, Ascent Lint, & iDebug
05 févr. 2019 12h30 HE | Real Intent
SUNNYVALE, Calif., Feb. 05, 2019 (GLOBE NEWSWIRE) -- Real Intent, Inc. today announced that its static sign-off tools -- Meridian CDC (Clock Domain Crossing), Meridian RDC (Reset Domain Crossing),...
RI-logo.png
Real Intent in Top 3 of “Best of 2018” Electronic Design Automation Tools
25 janv. 2019 06h00 HE | Real Intent
SUNNYVALE, Calif., Jan. 25, 2019 (GLOBE NEWSWIRE) -- Real Intent, Inc., today announced that its Verix CDC (multimode), Meridian CDC, and Meridian RDC products ranked #3 in the Best of 2018...
Meridian RXV
Real Intent Provides Comprehensive Reset Analysis with Meridian RXV
29 oct. 2018 08h00 HE | Real Intent
New Tool Offers Reset Audit, Reset Optimization and RTL X-Optimism Analysis SUNNYVALE, Calif., Oct. 29, 2018 (GLOBE NEWSWIRE) -- Real Intent, Inc., a leading provider of SoC and FPGA sign-off...
Real Intent to Exhib
Real Intent to Exhibit New Products at the 55th DAC in San Francisco
19 juin 2018 08h00 HE | Real Intent, Inc.
SUNNYVALE, Calif., June 19, 2018 (GLOBE NEWSWIRE) -- What:Real Intent will showcase its new Verix family of products including the just announced PhyCDC product for gate-level CDC sign-off and...
Verix
Real Intent Launches Verix PhyCDC – the Next Innovation in SoC Design Sign-off
18 juin 2018 08h00 HE | Real Intent, Inc.
SUNNYVALE, Calif., June 18, 2018 (GLOBE NEWSWIRE) -- Real Intent, Inc., a leading provider of SoC and FPGA sign-off verification solutions, today announced Verix PhyCDC – a new tool to debug clock...
Real Intent's New Ve
Real Intent's New Verix SimFix Software Delivers First Intent-Driven Remedy for Verification Pessimism
30 mai 2018 08h00 HE | Real Intent, Inc.
SUNNYVALE, Calif., May 30, 2018 (GLOBE NEWSWIRE) -- Real Intent, a leading provider of SoC and FPGA sign-off verification solutions, today launched Verix SimFix, the first intent-driven verification...