Lam Research Unveils Technology Breakthrough for EUV Lithography

New dry resist technology being developed with ASML and imec will help to extend EUV lithography’s resolution, productivity and yield


FREMONT, Calif., Feb. 26, 2020 (GLOBE NEWSWIRE) -- Lam Research Corp. (Nasdaq: LRCX) today announced a dry resist technology for extreme ultraviolet (EUV) patterning. By combining Lam’s deposition and etch process leadership with strategic partnerships with ASML and imec, Lam is developing a new dry resist technology that will help to extend the resolution, productivity, and yield of EUV lithography. Lam’s dry resist solutions offer significant EUV sensitivity and resolution advantages and thus an improved overall cost for each EUV wafer pass.

As EUV lithography systems are now being used in high volume manufacturing by leading-edge chipmakers, further improvements to productivity and resolution will help extend affordable scaling to future process nodes. Lam’s new dry resist application and development technologies will enable lower dose and increased resolution, thereby increasing productivity and enlarging the exposure process window. Additionally, by utilizing five to ten times less raw materials, Lam’s dry resist approach provides significant running cost savings to customers while also delivering a more sustainable solution for environmental, social, and governance (ESG) measures.

“After more than twenty years of sustained R&D by ASML and its partners, EUV is now being used in high volume chip manufacturing,” said Peter Wennink, president and CEO of ASML. “We are committed to maturing and extending this technology further through close collaboration with Lam Research and imec. This strategic partnership on dry resist technology supports chipmakers to innovate higher performance chips at lower cost, unlocking the potential of technology for society.”

“This technology breakthrough is a perfect example of innovation through collaboration and how our valued partnerships with ASML and imec continue to bring new benefits to customers and the industry,” said Tim Archer, president and CEO of Lam Research. “Lam continues to lead in deposition and etch, and we are excited by this new opportunity to expand our patterning solutions directly into photosensitive lithographic materials. This new capability demonstrates Lam’s comprehensive patterning strategy, first enabling the industry to scale with multiple patterning solutions and now by enhancing the productivity and performance of EUV.”

Lam is engaged with multiple chipmakers in solving key challenges of EUV lithography using this dry resist technology. The new dry resist technology enables continued scaling for advanced logic and memory devices.

“Optimizing a patterning process requires a lot of diverse skills, and for many years, imec has been pioneering the patterning process development in collaboration with key industry partners,” said Luc Van den hove, president and CEO of imec. “Dry resist can be a key enabling technology for further adoption of EUV lithography and acceleration of the technology roadmap. Together with Lam and ASML, we aim to optimize the dry resist technology to get the best possible performance.”

Lam will present its new technology development at the SPIE Advanced Lithography conference in San Jose at 9:40 a.m. PST on February 26, 2020.

About Lam Research
Lam Research Corporation is a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. As a trusted, collaborative partner to the world’s leading semiconductor companies, we combine superior systems engineering capability, technology leadership, and unwavering commitment to customer success to accelerate innovation through enhanced device performance. In fact, today, nearly every advanced chip is built with Lam technology. Lam Research (Nasdaq: LRCX) is a FORTUNE 500® company headquartered in Fremont, Calif., with operations around the globe. Learn more at www.lamresearch.com.  (LRCX-T)

Caution Regarding Forward-Looking Statements
Statements made in this press release that are not of historical fact are forward-looking statements and are subject to the safe harbor provisions created by the Private Securities Litigation Reform Act of 1995. Such forward-looking statements relate to, but are not limited to: the performance of Lam’s tools and processes and, specifically, the performance and advantages obtained through the use of Lam’s new dry resist technology; the effect of that new technology on the cost and productivity of EUV lithography; the need for improvements to the productivity and resolution of EUV lithography; the amount of raw material saved by use of the new technology; and the benefits of industry collaborations. These statements are based on current expectations and are subject to risks, uncertainties, and changes in condition, significance, value and effect including those risks and uncertainties that are described in the documents filed or furnished by us with the Securities and Exchange Commission, including specifically our annual report on Form 10-K for the fiscal year ended June 30, 2019 and our quarterly reports on Form 10-Q for the fiscal quarters ended December 29, 2019 and September 29, 2019. These uncertainties and changes could materially affect the forward-looking statements and cause actual results to vary from expectations in a material way. The Company undertakes no obligation to update the information or statements made in this release.

Company Contacts:
Libra White
Media Relations
(510) 572-7725
publicrelations@lamresearch.com

Ram Ganesh
Investor Relations
(510) 572-1615
investor.relations@lamresearch.com